Welcome![Sign In][Sign Up]
Location:
Search - PS2 keyboard

Search list

[SCMps2key

Description: 用AT89S52单片机模拟PS2键盘时序向PC机发送指令,实现虚拟键盘的功能-AT89S52 Single-chip simulation using PS2 keyboard timing machine to send commands to the PC to realize the function of the virtual keyboard
Platform: | Size: 12288 | Author: 朱蛛 | Hits:

[SCMps2KeyboardScaning

Description: very useful to pactising ps2 keyboard
Platform: | Size: 19456 | Author: david123 | Hits:

[SCMPS2

Description: EINT外部中断实验-PS2键盘打字练习器-External interrupt EINT experimental-PS2 keyboard typing trainer
Platform: | Size: 58368 | Author: LINKUN | Hits:

[SCM17869309ps2_c51

Description: 8051 PS2接口编程,适用于PS2键盘与PS2鼠标-8051 PS2 programming interface for PS2 keyboard and PS2 mouse
Platform: | Size: 3072 | Author: henry | Hits:

[Other1

Description: *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital control verilog procedures, and debugging in the experiment above board success! FPGA is a long learning process, but I have to insist! A bright future, roads and rugged! In the garbage (I workbench drawers and cabinets below, huh, huh) search inside a previously C8051F020 minimum for a single-chip systems, which have a small number of PS2 keyboard, then immediately鼓捣, then review the PS2 data about the rules, in fact, relatively simple procedures so they get very quickly, and in the normal operation of the circuit board! ! !
Platform: | Size: 5120 | Author: nyw | Hits:

[SCMkeyboard-ps2-mouse-protocol

Description: ps2鼠标键盘协议(中文版),可供大家参考,虽然现在USB鼠标键盘比较多,但是给爱好者共享-keyboard ps2 mouse protocol (Chinese version), available for your reference, although it is now more USB mouse keyboard, but for lovers to share
Platform: | Size: 617472 | Author: 胡萝卜 | Hits:

[SCMps2

Description: 实现ps2键盘功能,可实现电脑26个按键的功能。-Achieve ps2 keyboard function, a computer keyboard functions
Platform: | Size: 2048 | Author: 赵朴 | Hits:

[SCMPS2_command_keyboard

Description: PS2 Keyboard control C language source code
Platform: | Size: 39936 | Author: richman | Hits:

[SCMPS2_keyboard_control

Description: PS2 keyboard control C language source code
Platform: | Size: 4096 | Author: richman | Hits:

[Embeded-SCM DevelopPs2-ALL

Description: PS2键盘鼠标接口控制实现电子琴功能,verilog hdl 编写-PS2 keyboard and mouse interface to control the realization of organ function, verilog hdl prepared
Platform: | Size: 1058816 | Author: rutingfeng | Hits:

[Embeded-SCM Developps2

Description: 单片机开发板里面自带的关于PS2键盘的程序,大家需要的话可以下载-Single-chip development board which comes with PS2 keyboard on the procedure, we need to do so can be downloaded
Platform: | Size: 56320 | Author: liuheng | Hits:

[VHDL-FPGA-VerilogLibra_ps2key_lcd

Description: 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。-Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module for the FPGA/CPLD system development process. This code is my development environment in Libra when written in Actel FPGA.
Platform: | Size: 6144 | Author: 赵二虎 | Hits:

[Other89s51keybroad

Description: 51单片机的PS2键盘程序,这个程序可以成为写键盘和计算机PS2通信的一个例子-PS2 keyboard microcontroller 51 procedures, which can be a keyboard and computer to write an example of communication PS2
Platform: | Size: 62464 | Author: 陈翔 | Hits:

[ARM-PowerPC-ColdFire-MIPSPS2

Description: a document for ps/2 keyboard controller
Platform: | Size: 199680 | Author: ROMY | Hits:

[SCMuart_ps2

Description: 这是一个在avr单片机的实验,通过PS2键盘给AVR单片机输入字符然后通过UART显示在上位机上的实验-This is an experiment in the avr microcontroller through PS2 keyboard to enter characters AVR single-chip UART and then displayed on the host computer on the experimental
Platform: | Size: 20480 | Author: 谢应东 | Hits:

[ARM-PowerPC-ColdFire-MIPSPS2

Description: STC单片机的PS/2键盘扫描程序,可以直接应用-STC SCM PS/2 keyboard scanner
Platform: | Size: 56320 | Author: liuxing521lan | Hits:

[SCMPS2_keyboard_driver

Description: 利用MSC51系列单片机 89S52 模拟PS2协议 对 PS2键盘进行读取操作 , 通过LCD1602显示 按键值 包括功能键-USE MSC51 SERIES MCU 89S52 TO DRIVER ps2 KEYBOARD AND DISPLAY ON lcd1602
Platform: | Size: 28672 | Author: 蔡德洋 | Hits:

[SCMPS2

Description: 这是一个关于ps2与单片机结合的键盘,同时包括液晶显示,很实用的-It is a ps2 keyboard with integrated single-chip, including liquid crystal display at the same time, it is useful
Platform: | Size: 604160 | Author: 李木 | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于Xilinx Spartan3E的ps/2键盘接口,能够把键值传送到FPGA上并在LCD上显示-Xilinx Spartan3E based on the ps/2 keyboard interface, be able to send to the FPGA on the keys and LCD display
Platform: | Size: 506880 | Author: darkblue | Hits:

[SCMPS2

Description: 这个是PS2键盘的驱动程序,可以将PS2键盘与许多微处理器相连,这里是C语言版本~!-This is a driver PS2 keyboard, PS2 keyboard can be connected with a number of microprocessors, there is a C language version ~!
Platform: | Size: 1024 | Author: | Hits:
« 1 2 3 4 56 7 8 9 10 ... 46 »

CodeBus www.codebus.net